Vous avez manqué une session du GamesBeat Summit Next 2022 ? Toutes les sessions sont désormais disponibles pour consultation dans notre bibliothèque à la demande. Cliquez ici pour commencer à regarder.


Intel a annoncé que ses chercheurs prévoyaient un moyen de rendre les puces 10 fois plus denses grâce à des améliorations de l’emballage et à une couche d’un matériau de seulement trois atomes d’épaisseur. Et cela pourrait ouvrir la voie à l’installation d’un billion de transistors sur un boîtier de puces d’ici 2030.

La loi de Moore est censée être morte. Les puces ne sont pas censées s’améliorer, du moins pas grâce aux progrès de la fabrication traditionnelle. C’est une notion lamentable sur le 75e anniversaire de l’invention du transistor. En 1965, le président émérite d’Intel, Gordon Moore, avait prédit que le nombre de composants, ou transistors, sur une puce doublerait tous les deux ans.

Cette loi a duré des décennies. Les puces sont devenues plus rapides et plus efficaces. Les fabricants de puces ont réduit les dimensions des puces, et la bonté en a résulté. Les électrons d’une puce miniaturisée avaient des distances plus courtes à parcourir. Ainsi, la puce est devenue plus rapide. Et les distances plus courtes signifiaient que la puce utilisait moins de matériau, ce qui la rendait moins chère. Et donc la marche régulière de la loi de Moore signifiait que les puces pouvaient devenir plus rapides, moins chères et encore plus économes en énergie en même temps.

Mais la loi de Moore dépendait vraiment de brillants ingénieurs humains qui proposaient de meilleures conceptions de puces et une miniaturisation continue de la fabrication. Au cours des dernières années, il est devenu plus difficile de faire ces progrès. La conception de la puce s’est heurtée aux lois de la physique. Avec des couches atomiques de quelques atomes d’épaisseur, il n’était plus possible de rétrécir. Et donc le PDG de Nvidia, Jensen Huang, a récemment déclaré : « La loi de Moore est morte.

Publicité

Événement

Sommet sur la sécurité intelligente

Découvrez le rôle critique de l’IA et du ML dans la cybersécurité et des études de cas spécifiques à l’industrie le 8 décembre. Inscrivez-vous pour votre laissez-passer gratuit aujourd’hui.

S’inscrire maintenant

Intel Iedm
Intel Iedm
Intel A Montré Comment Il Pouvait Construire Des Puces Avec Des Packages Interconnectés Complexes.

Ce n’est pas le bon moment, puisque nous sommes sur le point de commencer à construire le métaverse. La loi de Moore est essentielle pour répondre aux besoins informatiques insatiables du monde, car la consommation croissante de données et la tendance à l’augmentation de l’intelligence artificielle (IA) entraînent la plus grande accélération de la demande jamais enregistrée.

Une semaine après que le PDG de Nvidia a déclaré cela, le PDG d’Intel, Pat Gelsinger, a déclaré que la loi de Moore était bel et bien vivante. Ce n’est pas une surprise puisqu’il a parié des dizaines de milliards de dollars sur de nouvelles usines de fabrication de puces aux États-Unis. Pourtant, ses chercheurs le soutiennent au Réunion internationale sur les dispositifs électroniques. Intel a clairement indiqué que ces avancées n’existaient peut-être que dans cinq à dix ans.

Dans des articles lors de l’événement de recherche, Intel a décrit des percées pour maintenir la loi de Moore sur la bonne voie pour atteindre un billion de transistors sur un boîtier au cours de la prochaine décennie. À l’IEDM, les chercheurs d’Intel présentent les avancées de la technologie d’emballage 3D avec une nouvelle amélioration de la densité par 10, a déclaré Paul Fischer, directeur et ingénieur principal en recherche sur les composants chez Intel, lors d’un point de presse.

« Notre mission est de garder nos options de technologie de processus riches et complètes », a-t-il déclaré.

Ces packages ont été utilisés de manière innovante ces derniers temps ; Le rival d’Intel, Advanced Micro Devices, a annoncé que sa dernière puce graphique était dotée d’une puce de processeur et de six puces de mémoire, toutes connectées ensemble dans un seul boîtier. Intel a déclaré qu’il collaborait avec des entités gouvernementales, des universités, des chercheurs de l’industrie et des sociétés d’équipement de puces. Intel partage les fruits de la recherche dans des lieux comme l’événement IEDM.

Intel a également dévoilé de nouveaux matériaux pour la mise à l’échelle des transistors 2D au-delà du RibbonFET, y compris des matériaux ultra-minces d’une épaisseur de seulement trois atomes. Il a également décrit de nouvelles possibilités en matière d’efficacité énergétique et de mémoire pour une informatique plus performante ; et les progrès de l’informatique quantique.

« Soixante-quinze ans après l’invention du transistor, l’innovation à l’origine de la loi de Moore continue de répondre à la demande mondiale en informatique en croissance exponentielle », a déclaré Gary Patton, vice-président d’Intel pour la recherche et la conception de composants, dans un communiqué. « Lors de l’IEDM 2022, Intel présente à la fois les avancées de la recherche avant-gardistes et concrètes nécessaires pour franchir les barrières actuelles et futures, répondre à cette demande insatiable et maintenir la loi de Moore bien vivante pour les années à venir.

Les 75 ans du transistor

Intel Iedm 3
Intel Iedm 3
Les Couches Entre Les Circuits À Puce Peuvent Avoir Une Épaisseur Aussi Petite Que Trois Atomes.

Pour commémorer le 75e anniversaire du transistor, Ann Kelleher, vice-présidente exécutive d’Intel et directrice générale du développement technologique, animera une session plénière à l’IEDM. Kelleher décrira les voies à suivre pour l’innovation continue de l’industrie – ralliant l’écosystème autour d’une stratégie basée sur les systèmes pour répondre à la demande mondiale croissante d’informatique et innover plus efficacement pour avancer au rythme de la loi de Moore.

La session « Célébrons les 75 ans du transistor ! Un regard sur l’évolution de l’innovation de la loi de Moore », a lieu à 9 h 45 HNP le 5 décembre.

Pour faire les progrès nécessaires, Intel a une approche à plusieurs volets de « l’importance croissante et certainement une influence croissante au sein d’Intel » pour examiner plusieurs disciplines. Intel doit progresser dans les matériaux de puces, l’équipement de fabrication de puces, la conception et l’emballage, a déclaré Fischer.

« La technologie d’emballage 3D permet l’intégration transparente de puces », ou de plusieurs puces dans un boîtier, a-t-il déclaré. « Nous brouillons la frontière entre la fin du silicium et le début de l’emballage. »

L’innovation continue est la pierre angulaire de la loi de Moore. Au cours des deux dernières décennies, bon nombre des étapes clés de l’innovation pour l’amélioration continue de la puissance, des performances et des coûts – y compris le silicium contraint, la grille métallique Hi-K et le FinFET – dans les ordinateurs personnels, les processeurs graphiques et les centres de données ont commencé avec le groupe de recherche sur les composants d’Intel.

D’autres recherches, y compris les transistors RibbonFET gate-all-around (GAA), la technologie d’alimentation arrière PowerVia et les percées en matière d’emballage comme EMIB et Foveros Direct, sont sur la feuille de route aujourd’hui.

Lors de l’IEDM 2022, le groupe de recherche sur les composants d’Intel a déclaré qu’il développait une nouvelle technologie de packaging de liaison hybride 3D pour permettre une intégration transparente des chiplets ; matériaux 2D ultra-fins pour s’adapter à plus transistors sur une seule puce ; et de nouvelles possibilités en matière d’efficacité énergétique et de mémoire pour une informatique plus performante.

Comment Intel va le faire

Intel Iedm 4
Intel Iedm 4
Intel Prévoit Une Demande Vorace De Puissance De Calcul.

Les chercheurs ont identifié de nouveaux matériaux et procédés qui brouillent la frontière entre l’emballage et le silicium. Intel a déclaré qu’il prévoyait de passer de dizaines de milliards de transistors sur une puce aujourd’hui à un billion de transistors sur un boîtier, qui peut contenir beaucoup de puces.

L’un des moyens de progresser consiste à utiliser un boîtier capable d’atteindre une densité d’interconnexion 10 fois supérieure, ce qui conduit à des puces quasi monolithiques. Les innovations matérielles d’Intel ont également identifié des choix de conception pratiques qui peuvent répondre aux exigences de la mise à l’échelle des transistors en utilisant un nouveau matériau de seulement trois atomes d’épaisseur, permettant à l’entreprise de continuer à évoluer au-delà du RibbonFET.

La dernière recherche d’Intel sur la liaison hybride présentée à l’IEDM 2022 montre une amélioration supplémentaire de 10 fois la densité pour la puissance et les performances par rapport à la présentation de recherche IEDM 2021 d’Intel.

La mise à l’échelle continue de la liaison hybride à un pas de trois nanomètres permet d’obtenir des densités d’interconnexion et des bandes passantes similaires à celles trouvées sur les connexions système sur puce monolithiques. Un nanomètre est un milliardième de mètre.

Intel a déclaré qu’il recherchait des matériaux « 2D » ultra-fins pour installer plus de transistors sur une seule puce. Intel a démontré une structure de nanofeuilles empilées tout autour de la grille utilisant un canal 2D fin de seulement trois atomes d’épaisseur, tout en réalisant une commutation presque idéale des transistors sur une structure à double grille à température ambiante avec un faible courant de fuite.

Ce sont deux percées clés nécessaires pour empiler les transistors GAA et aller au-delà des limites fondamentales du silicium.

Les chercheurs ont également révélé la première analyse complète des topologies de contact électrique aux matériaux 2D qui pourraient ouvrir la voie à des canaux de transistors hautes performances et évolutifs.

Pour utiliser plus efficacement la zone de la puce, Intel redéfinit la mise à l’échelle en développant une mémoire pouvant être placée verticalement au-dessus des transistors. Dans le cadre d’une première dans l’industrie, Intel présente des condensateurs ferroélectriques empilés qui correspondent aux performances des condensateurs à tranchées ferroélectriques conventionnels et peuvent être utilisés pour construire FeRAM sur une puce logique.

Un modèle au niveau de l’appareil, une première dans l’industrie, capture les phases mixtes et les défauts pour les appareils hafnia ferroélectriques améliorés, marquant un progrès significatif pour Intel dans la prise en charge des outils de l’industrie pour développer de nouvelles mémoires et des transistors ferroélectriques.

Intel Iedm 5
Intel Iedm 5
Intel Voit Un Chemin Vers Des Puces À Transistors D’un Billion De Dollars Avec Plusieurs Approches.

En rapprochant le monde de la transition au-delà de la 5G et en résolvant les défis de l’efficacité énergétique, Intel construit une voie viable vers des tranches de GaN sur silicium de 300 millimètres. Les percées d’Intel dans ce domaine démontrent un gain de 20 fois par rapport au GaN standard de l’industrie et établissent un chiffre de mérite record de l’industrie pour la fourniture de puissance haute performance.

Intel fait des percées sur les technologies super économes en énergie, en particulier les transistors qui n’oublient pas, conservant les données même lorsque l’alimentation est coupée. Déjà, les chercheurs d’Intel ont brisé deux des trois barrières empêchant la technologie d’être pleinement viable et opérationnelle à température ambiante.

Intel continue d’introduire de nouveaux concepts en physique avec des percées dans la fourniture de meilleurs qubits pour l’informatique quantique. Les chercheurs d’Intel s’efforcent de trouver de meilleures façons de stocker les informations quantiques en rassemblant une meilleure compréhension des divers défauts d’interface qui pourraient agir comme des perturbations environnementales affectant les données quantiques.

Le credo de GamesBeat lorsque couvrir l’industrie du jeu vidéo est « là où la passion rencontre les affaires ». Qu’est-ce que ça veut dire? Nous voulons vous dire à quel point l’actualité compte pour vous, non seulement en tant que décideur dans un studio de jeux, mais aussi en tant que fan de jeux. Que vous lisiez nos articles, écoutiez nos podcasts ou regardiez nos vidéos, GamesBeat vous aidera à en savoir plus sur l’industrie et à vous engager avec elle. Découvrez nos Briefings.

Rate this post
Publicité
Article précédentLes prix des voitures électriques d’occasion ont dérapé en novembre: Teslas en baisse de 4 000 £
Article suivantLe film Kimi no Iro de Science SARU sortira en 2023
Avatar
Violette Laurent est une blogueuse tech nantaise diplômée en communication de masse et douée pour l'écriture. Elle est la rédactrice en chef de fr.techtribune.net. Les sujets de prédilection de Violette sont la technologie et la cryptographie. Elle est également une grande fan d'Anime et de Manga.

LAISSER UN COMMENTAIRE

S'il vous plaît entrez votre commentaire!
S'il vous plaît entrez votre nom ici